aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/attr4.vhdl
blob: 4993b0feb8ba87b257da9772f7003e870f826372 (plain)
1
2
3
4
library ieee;use ieee.std_logic_1164;entity d is
port(s:std'r);end entity;architecture c of t is
begin
t;end architecture;