aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/attr20.vhdl
blob: 6f7fc3d594dd42481a2e3c6165f208e85477a6ac (plain)
1
2
3
4
5
6
library ieee;use ieee.std_logic_1164;library ieee;use ieee.std_logic_1164.all;entity ghdlcrash is
port(i:std'l);end ghdlcrash;architecture s of h is
function m(a:l)return n is
variable m:t;begin
end function;begin
end architecture;