aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/attr20.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2116/attr20.vhdl')
-rw-r--r--testsuite/gna/issue2116/attr20.vhdl6
1 files changed, 6 insertions, 0 deletions
diff --git a/testsuite/gna/issue2116/attr20.vhdl b/testsuite/gna/issue2116/attr20.vhdl
new file mode 100644
index 000000000..6f7fc3d59
--- /dev/null
+++ b/testsuite/gna/issue2116/attr20.vhdl
@@ -0,0 +1,6 @@
+library ieee;use ieee.std_logic_1164;library ieee;use ieee.std_logic_1164.all;entity ghdlcrash is
+port(i:std'l);end ghdlcrash;architecture s of h is
+function m(a:l)return n is
+variable m:t;begin
+end function;begin
+end architecture; \ No newline at end of file