aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/attr18.vhdl
blob: 0866535cba3c4a901976deaa1f5898451fc0e9d4 (plain)
1
2
3
4
5
6
7
library ieee;use ieee.std_logic_1164;use ieee.numeric_std.all;entity hello is
port(c:std'l;t:d(0));end hello;architecture behav of h is
signal v:d(0);begin
process(c)begin
if(0)then
if 0='0'then
s;end if;end if;end process;end behav;