aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/attr18.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2116/attr18.vhdl')
-rw-r--r--testsuite/gna/issue2116/attr18.vhdl7
1 files changed, 7 insertions, 0 deletions
diff --git a/testsuite/gna/issue2116/attr18.vhdl b/testsuite/gna/issue2116/attr18.vhdl
new file mode 100644
index 000000000..0866535cb
--- /dev/null
+++ b/testsuite/gna/issue2116/attr18.vhdl
@@ -0,0 +1,7 @@
+library ieee;use ieee.std_logic_1164;use ieee.numeric_std.all;entity hello is
+port(c:std'l;t:d(0));end hello;architecture behav of h is
+signal v:d(0);begin
+process(c)begin
+if(0)then
+if 0='0'then
+s;end if;end if;end process;end behav; \ No newline at end of file