aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/attr17.vhdl
blob: e1709779053b666831d121698247e5c8d9115a52 (plain)
1
2
3
4
5
6
library ieee;use ieee.std_logic_1164;use ieee.numeric_std.all;entity hello is
port(c:std'l;t:d(0));end hello;architecture behav of h is
signal v:d(0);begin
process(l)begin
if(0)then if'0'then
v<=0;end if;end if;end process;end behav;