aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/attr15.vhdl
blob: cc629345d55ef9fdd5f8a3a3ce088873f381e893 (plain)
1
2
3
4
5
6
library ieee;use ieee.std_logic_1164;entity t is
port(s:std'l);end entity;architecture a of t is
begin	i;end architecture;library i;entity b is
end entity;architecture h of b is
signal n:r(0);signal s:s(0);begin process	begin
end process;t(0);end architecture;