aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1832/psl.vhdl
blob: 4d005d5ef2a1355be89f19e8b3c53bf54372972b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
  use ieee.std_logic_1164.all;


entity issue is
  port (
    clk : in std_logic;
    a, b : in std_logic
  );
end entity issue;


architecture psl of issue is
begin

  -- All is sensitive to rising edge of clk
  default clock is rising_edge(clk);

  -- This assertion should hold
  INF_a : assert always {a} |=> {not b[*0 to inf]; b};
end architecture psl;