aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1672/repro.vhdl
blob: ad4ee55ea159f1e5501e2e7f0832526b2e30a023 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity repro_sub is
  generic (num_ports : integer);
  port (clocks : bit_vector(0 to num_ports - 1));
end entity;

architecture a of repro_sub is
begin
end architecture;


entity repro is
end entity;

architecture a of repro is
  signal clock : bit := '0';
begin
  repro_sub_inst : entity work.repro_sub
    generic map (num_ports => 4)
    port map (clocks => (others => clock));
end architecture;