aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1672/dut.vhdl
blob: 0aaf5d28d8d2846d8296391b989f867a2899e5d2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
use ieee.std_logic_1164.all;

entity dut is
  generic (
    num_ports : integer
  );
  port (
    clocks : std_logic_vector(0 to num_ports - 1)
  );
end entity;

architecture a of dut is

begin

end architecture;