aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1672/dut.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1672/dut.vhdl')
-rw-r--r--testsuite/gna/issue1672/dut.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/gna/issue1672/dut.vhdl b/testsuite/gna/issue1672/dut.vhdl
new file mode 100644
index 000000000..0aaf5d28d
--- /dev/null
+++ b/testsuite/gna/issue1672/dut.vhdl
@@ -0,0 +1,17 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity dut is
+ generic (
+ num_ports : integer
+ );
+ port (
+ clocks : std_logic_vector(0 to num_ports - 1)
+ );
+end entity;
+
+architecture a of dut is
+
+begin
+
+end architecture;