aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1455/tb1.vhdl
blob: 55796aab1bee70f8205b9ae17676dead961b9dff (plain)
1
2
3
4
5
6
7
8
entity tb1 is
end tb1;

use work.pkg1.all;

architecture behav of tb1 is
begin
end behav;