aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1455/tb1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1455/tb1.vhdl')
-rw-r--r--testsuite/gna/issue1455/tb1.vhdl8
1 files changed, 8 insertions, 0 deletions
diff --git a/testsuite/gna/issue1455/tb1.vhdl b/testsuite/gna/issue1455/tb1.vhdl
new file mode 100644
index 000000000..55796aab1
--- /dev/null
+++ b/testsuite/gna/issue1455/tb1.vhdl
@@ -0,0 +1,8 @@
+entity tb1 is
+end tb1;
+
+use work.pkg1.all;
+
+architecture behav of tb1 is
+begin
+end behav;