aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug01/repro.vhdl
blob: 5538eafd1f01d5d11cc717e57a3f40d2c7253ccb (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity repro is
  
end repro;

architecture behav of repro is

begin  -- behav

  process
    variable v : integer := 523;
    variable a : integer := 2;
  begin
    assert false report integer'image(v)(a);
    wait;
  end process;
end behav;