aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug01/repro.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug01/repro.vhdl')
-rw-r--r--testsuite/gna/bug01/repro.vhdl16
1 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/gna/bug01/repro.vhdl b/testsuite/gna/bug01/repro.vhdl
new file mode 100644
index 000000000..5538eafd1
--- /dev/null
+++ b/testsuite/gna/bug01/repro.vhdl
@@ -0,0 +1,16 @@
+entity repro is
+
+end repro;
+
+architecture behav of repro is
+
+begin -- behav
+
+ process
+ variable v : integer := 523;
+ variable a : integer := 2;
+ begin
+ assert false report integer'image(v)(a);
+ wait;
+ end process;
+end behav;