aboutsummaryrefslogtreecommitdiffstats
path: root/src
Commit message (Expand)AuthorAgeFilesLines
* elab-order command: add an option to display libraries. Fix #1736Tristan Gingold2021-10-041-4/+27
* grt-change_generics: handle subtype for elements. Fix #1386Tristan Gingold2021-10-031-2/+8
* vhdl: report unused types and subtypesTristan Gingold2021-10-012-1/+13
* vhdl-formatters: fix bad reformatting on a simple range.Tristan Gingold2021-10-011-0/+1
* vhdl: warns on unused component declarationsTristan Gingold2021-09-302-1/+5
* grt-vcd.adb: add option --vcd-4states to dump a strict vcd file. Fix #1759Tristan Gingold2021-09-301-7/+17
* grt-vcd: exclude arrays from dump. Fix #1881Tristan Gingold2021-09-291-59/+67
* netlists-disp_verilog: fix name for memory initializationTristan Gingold2021-09-281-3/+4
* grt-change_generics: handle array subtypes. Fix #1876Tristan Gingold2021-09-241-6/+20
* Add parsing of case? statement and simple test.Brian Padalino2021-09-248-79/+138
* ghdldrv: use environment variable CC to set the default compiler. For #1629Tristan Gingold2021-09-231-3/+6
* vhdl-ieee-vital_timing.adb: handle vhdl 2008. Fix #1875Tristan Gingold2021-09-231-3/+15
* vhdl-evaluation.adb: Minor style fixesTristan Gingold2021-09-231-60/+61
* vhdl-sem_assocs.adb: add commentsTristan Gingold2021-09-231-10/+41
* Add explicit ?>= and ?> functions for translation.Brian Padalino2021-09-226-4/+30
* Implement Matching Operators (#1872)Brian Padalino2021-09-221-8/+148
* vhdl-evaluation.adb: handle iir_kind_aggregate in build_constant. Fix #543Tristan Gingold2021-09-181-0/+11
* vhdl-parse.adb: minor reformattingTristan Gingold2021-09-181-1/+2
* trans-chap8: fix iteration on an enumeration type with only one literal.Tristan Gingold2021-09-181-3/+9
* trans-chap8.adb: refactoring and clean-up. For #1514Tristan Gingold2021-09-182-46/+12
* vhdl-sem_names(sem_parenthesis_name): minor refactoringTristan Gingold2021-09-181-13/+9
* vhdl-sem_names(sem_parenthesis_name): handle indexing of delayed attribute.Tristan Gingold2021-09-181-2/+6
* configure and Makefile: link ghdl with grt-cstdioTristan Gingold2021-09-173-3/+4
* vhdl-evaluation.adb: fix warningTristan Gingold2021-09-171-3/+0
* vhdl-evaluation: implement to_string for real with format. Fix #874Tristan Gingold2021-09-171-23/+69
* vhdl-utils: minor renaming for homogeneityTristan Gingold2021-09-162-2/+2
* trans-chap4: handle unbounded aggregate initial value to unbounded signal.Tristan Gingold2021-09-161-1/+30
* Fixed some typos (#1868)Patrick Lehmann2021-09-1611-22/+22
* netlists-disp_verilog: fix output of parameter assignments. Fix #1866Tristan Gingold2021-09-151-12/+12
* netlists-disp_verilog.adb: add 'parameter' before parameters declarationTristan Gingold2021-09-151-1/+1
* synth/netlists-disp_verilog: fix output of parameter values. For #1866Tristan Gingold2021-09-153-12/+37
* vhdl: move Get_Source_Identifier to vhdl-utilsTristan Gingold2021-09-153-18/+25
* ortho_code-x86-insns: handle OE_ADD R_I_Off + R_I. Fix #1864Tristan Gingold2021-09-141-0/+7
* vhdl-sem_expr.adb: adjust aggregate element type extraction. For #737Tristan Gingold2021-09-141-2/+7
* trans-chap7: improve handling of vector in aggregates. Fix #1493Tristan Gingold2021-09-141-32/+58
* synth-vhdl_oper: handle nor for booleanTristan Gingold2021-09-141-0/+1
* trans-chap3: improve commentTristan Gingold2021-09-141-4/+4
* ortho: for slices, get element size from the result typeTristan Gingold2021-09-1410-17/+76
* trans-chap7: improve handling of vector in aggregates. Fix #1453Tristan Gingold2021-09-121-23/+27
* trans-chap8: fix crash for slice in target aggregate. Fix #786Tristan Gingold2021-09-121-0/+4
* vhdl-sem_assocs: add a check to avoid a crash on an error. Fix #873Tristan Gingold2021-09-121-0/+4
* vhdl-canon: recurse for default block configuration of a vunit.Tristan Gingold2021-09-122-19/+25
* vhdl-configuration: also consider vunits to find top entity.Tristan Gingold2021-09-113-37/+64
* vhdl-configuration: also consider units instantiated in vunit. Fix #1860Tristan Gingold2021-09-111-46/+64
* synth-vhdl_stmts: fix crash on nested if-generate statement in vunits.Tristan Gingold2021-09-111-2/+5
* trans-chap7: improve support of aggregate. Fix #1843Tristan Gingold2021-09-101-10/+29
* vhdl: allow constants in vunit declarations. Fix #1856Tristan Gingold2021-09-082-0/+3
* ghdllocal: generalize top level unit extractionTristan Gingold2021-09-075-38/+59
* vhdl-parse.adb: adjust error message. Fix #1485Tristan Gingold2021-09-071-1/+1
* options.adb: -Werror now applies on unclassified warnings.Tristan Gingold2021-09-071-0/+1