aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/vhdl-parse.adb
Commit message (Expand)AuthorAgeFilesLines
* vhdl: handle selected waveform assignmentTristan Gingold2023-03-091-7/+24
* vhdl-parse: handle 'end for' in configuration specification.Tristan Gingold2022-12-211-0/+23
* files_comments: add comments, slightly simplify the interfaceTristan Gingold2022-12-201-1/+3
* vhdl: rework comment gathering to handle empty lines.Tristan Gingold2022-11-271-27/+51
* vhdl-parse: add a commentTristan Gingold2022-11-231-2/+4
* file_comments: add comments_range to support deferred gatheringTristan Gingold2022-11-231-0/+17
* vhdl-parse: gather comments for subprogramsTristan Gingold2022-11-221-0/+5
* vhdl-parse: gather comments for enumerations and their literalsTristan Gingold2022-11-221-1/+15
* vhdl-parse: gather more comments (type, objects)Tristan Gingold2022-11-221-1/+22
* vhdl-parse: handle inside commentsTristan Gingold2022-11-211-0/+31
* Add an API to gather comments.Tristan Gingold2022-11-201-4/+22
* vhdl-parse.adb: handle external names as assignment target.Tristan Gingold2022-10-141-2/+4
* vhdl-parse.adb: parse pathname expressionTristan Gingold2022-08-111-0/+10
* vhdl: add support for default in interface subprogram. Fix #2163Tristan Gingold2022-08-071-0/+17
* vhdl-parse: set reference_terminal flagTristan Gingold2022-07-261-0/+1
* vhdl-parse: fix crashes after error. Fix #2110Tristan Gingold2022-06-261-2/+6
* vhdl-parse.adb: fix uninitialized variable, for #2076Tristan Gingold2022-06-061-0/+1
* vhdl-parse: avoid a crash with return identifier. Fox #2076Tristan Gingold2022-06-061-1/+7
* vhdl-parse: do not allow nested context declaration. For #2070Tristan Gingold2022-06-011-1/+5
* vhdl-parse: avoid a crash on too large numbers. For #2070Tristan Gingold2022-06-011-1/+8
* vhdl: avoid crash after an error. For #2070Tristan Gingold2022-06-011-1/+3
* vhdl: parse return identifier (v19)Tristan Gingold2022-03-041-2/+29
* vhdl-parse: improve error message for empty recordsTristan Gingold2021-11-281-29/+33
* vhdl/psl: handle PSL inherit spec. For #1899Tristan Gingold2021-11-051-2/+10
* vhdl: parse PSL inherit spec. For #1899Tristan Gingold2021-11-041-3/+45
* Add parsing of case? statement and simple test.Brian Padalino2021-09-241-4/+20
* vhdl-parse.adb: minor reformattingTristan Gingold2021-09-181-1/+2
* vhdl-parse.adb: adjust error message. Fix #1485Tristan Gingold2021-09-071-1/+1
* vhdl-parse: support for-generate in vunits. Fix #1850Tristan Gingold2021-08-271-2/+10
* vhdl-parse.adb: improve error recovery. For #1837Tristan Gingold2021-08-241-0/+2
* vhdl-parse: use if_generate_else_clause for elsif clauses. Fix #1824Tristan Gingold2021-07-291-1/+1
* vhdl: move check on instantiation name from sem to parse.Tristan Gingold2021-07-281-0/+3
* vhdl: avoid a crash on forced analysis of a erroneous name expressionTristan Gingold2021-05-281-20/+17
* src: Clarify error for conditional signal assignment.Ondrej Ille2021-04-111-1/+2
* src: Allow case generate only in VHDL 2008.Ondrej Ille2021-04-111-0/+1
* src: Unify check for VHDL at least 2008Ondrej Ille2021-04-111-47/+25
* src: Remove obsolete FIXME, file_open_information parsed. Default "IN"/"READ_...Ondrej Ille2021-04-051-1/+0
* src: Better reporting of missing parenthesis.Ondrej Ille2021-04-031-5/+24
* src: Add Resync_To_End_Of_External_Name.Ondrej Ille2021-04-031-1/+21
* vhdl-parse.adb: fix indentation (for #1711)Tristan Gingold2021-04-031-30/+31
* src: More detailed message on invalid variable locations.Ondrej Ille2021-04-031-12/+46
* src: Provide nicer message if Tok_Is is swapped with Tok_Assign for alias.Ondrej Ille2021-04-031-2/+6
* Add support for PSL onehot/onehot0 functions (#1633)T. Meissner2021-02-091-0/+6
* update license headersumarcor2021-01-141-11/+9
* vhdl-parse.adb: improve diagnostic messagesTristan Gingold2021-01-051-1/+2
* vhdl: fix reprint of vhdl08 array element constraints.Tristan Gingold2021-01-051-0/+2
* vhdl-parse: improve error recovery on extra right parenthesisTristan Gingold2020-11-041-7/+21
* vhdl-parse: improve error recovery on tick.Tristan Gingold2020-11-041-0/+5
* vhdl-parse: do not skip token in case of error. Fix #1500Tristan Gingold2020-10-291-1/+1
* vhdl-parse: improve error message for extra '('.Tristan Gingold2020-10-091-1/+5