aboutsummaryrefslogtreecommitdiffstats
path: root/src/synth/synth-ieee-numeric_std.ads
Commit message (Expand)AuthorAgeFilesLines
* synth-static_oper: handle mul uns nat. (#1200)bwimm2020-04-071-0/+2
* synth-static_oper: handle mul nat uns. Fix #1179Tristan Gingold2020-04-011-0/+2
* synth: handle static sub uns/nat.Tristan Gingold2020-03-131-0/+3
* synth: handle static "-" for unsigned. For of #1087Tristan Gingold2020-01-131-0/+2
* synth: add static neg for signed.Tristan Gingold2019-12-031-0/+2
* synth: handle static mul sgn sgn.Tristan Gingold2019-11-161-0/+2
* synth: handle static mul uns uns. Fix bit order for add.Tristan Gingold2019-11-161-0/+4
* synth: handle static add uns nat.Tristan Gingold2019-11-161-0/+2
* synth: handle static add sgn int.Tristan Gingold2019-11-161-0/+5
* synth: handle static unsigned/unsigned add.Tristan Gingold2019-11-131-0/+25