aboutsummaryrefslogtreecommitdiffstats
path: root/website/index.html
diff options
context:
space:
mode:
Diffstat (limited to 'website/index.html')
-rw-r--r--website/index.html109
1 files changed, 109 insertions, 0 deletions
diff --git a/website/index.html b/website/index.html
new file mode 100644
index 000000000..ba9015e70
--- /dev/null
+++ b/website/index.html
@@ -0,0 +1,109 @@
+<?xml version="1.0" encoding="ISO-8859-1" ?>
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Strict//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd">
+<html xmlns="http://www.w3.org/1999/xhtml" xml:lang="en">
+ <head>
+ <title>GHDL home page</title>
+ <link rel="shortcut icon" href="favicon.ico">
+ <style type="text/css">
+ H1 { text-align: center}
+ </style>
+ </head>
+
+ <body>
+ <h1>GHDL home page</h1>
+
+<table>
+<tr>
+<td valign="top">
+<table border="0" width="100%">
+<tr>
+<td style="white-space: nowrap">
+<a href="download.html">Download</a><br/>
+<a href="features.html">Features</a><br/>
+<a href="manual.html">Manual</a><br/>
+<a href="waveviewer.html">Wave viewer</a><br/>
+<a href="bug.html">Bug report</a><br/>
+<a href="more.html">More</a><br/>
+<a href="roadmap.html">Roadmap</a><br/>
+<a href="links.html">Links</a><br/>
+</td>
+</tr>
+</table>
+</td>
+<td valign="top">
+ <p>
+ GHDL is a complete <a href="http://www.vhdl.org">VHDL</a>
+ simulator, using the <a href="http://gcc.gnu.org">GCC</a>
+ technology.
+ </p>
+
+ <p>
+ VHDL is a language standardized by the
+ <a href="http://www.ieee.org">IEEE</a>, intended for developing
+ electronic systems.
+ </p>
+
+ <p>
+ GHDL implements the VHDL language according to the IEEE
+ 1076-1987 or the IEEE 1076-1993 standard. GHDL compiles VHDL
+ files and creates a binary which simulates (or executes) your
+ design. See the <a href="features.html">features</a> page for
+ more details.
+ </p>
+
+ <p>
+ GHDL does not do synthesis: it cannot translate your design into
+ a netlist.
+ </p>
+
+ <p>
+ Go to the <a href="download.html">download</a> page to download the
+ sources or the binaries of GHDL.
+ </p>
+
+ <p>
+ There is a low-traffic mailing list managed by ezmlm,
+ <a href="mailto:ghdl-discuss@lists.suug.ch">ghdl-discuss@lists.suug.ch
+ </a>. You can subscribe by sending a mail to
+ <a href="mailto:ghdl-discuss-subscribe@lists.suug.ch">
+ ghdl-discuss-subscribe@lists.suug.ch</a>.
+ </p>
+
+ <p>
+ GHDL is Free Software; you can redistribute it and/or modify
+ it under the terms of the GNU General Public License as published by
+ the Free Software Foundation; either version 2 of the License, or
+ (at your option) any later version.
+ </p>
+ <p>
+ GHDL is distributed in the hope that it will be useful,
+ but WITHOUT ANY WARRANTY; without even the implied warranty of
+ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ GNU General Public License for more details.
+ </p>
+</td>
+</tr>
+</table>
+<!--
+ vhdl simulator
+ what is vhdl ?
+ vhdl 87, 93
+ gcc back end
+ todo
+ main features
+ install the files.
+ simple example
+-->
+ <hr/>
+ <p>
+ Copyright (C) 2004, 2005 Tristan Gingold -- tgingold AT free DOT fr
+ </p>
+ <p>
+ <!-- Created: Thu Nov 7 11:13:57 CET 2002 -->
+ <!-- hhmts start -->
+Last modified: Mon Aug 22 18:31:42 CEST 2005
+<!-- hhmts end -->
+ </p>
+ </body>
+</html>