aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2327/test_and.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue2327/test_and.vhdl')
-rw-r--r--testsuite/synth/issue2327/test_and.vhdl11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/synth/issue2327/test_and.vhdl b/testsuite/synth/issue2327/test_and.vhdl
new file mode 100644
index 000000000..e6bd17449
--- /dev/null
+++ b/testsuite/synth/issue2327/test_and.vhdl
@@ -0,0 +1,11 @@
+entity test is
+ port(
+ a: in bit_vector(7 downto 0);
+ b: in bit;
+ c: out bit_vector(7 downto 0));
+end test;
+
+architecture behavior of test is
+begin
+ c <= a and b;
+end behavior;