aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2013/testcase.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue2013/testcase.vhdl')
-rw-r--r--testsuite/synth/issue2013/testcase.vhdl32
1 files changed, 32 insertions, 0 deletions
diff --git a/testsuite/synth/issue2013/testcase.vhdl b/testsuite/synth/issue2013/testcase.vhdl
new file mode 100644
index 000000000..a5bfa1bff
--- /dev/null
+++ b/testsuite/synth/issue2013/testcase.vhdl
@@ -0,0 +1,32 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity testcase is
+ port (
+ state : in std_ulogic;
+ class : in std_ulogic;
+ o : out std_ulogic_vector(3 downto 0)
+ );
+end entity testcase;
+
+architecture behaviour of testcase is
+ signal misc_sel : std_ulogic_vector(3 downto 0);
+begin
+ testcase_0: process(all)
+ begin
+ misc_sel <= "0000";
+
+ case state is
+ when '0' =>
+ misc_sel <= "0111";
+ when '1' =>
+ if class = '1' then
+ misc_sel(3) <= '1';
+ end if;
+ when others =>
+ end case;
+
+ o <= misc_sel;
+ end process;
+end architecture behaviour;