aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1007/test_entity.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1007/test_entity.vhdl')
-rw-r--r--testsuite/synth/issue1007/test_entity.vhdl22
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/synth/issue1007/test_entity.vhdl b/testsuite/synth/issue1007/test_entity.vhdl
new file mode 100644
index 000000000..3f70d8948
--- /dev/null
+++ b/testsuite/synth/issue1007/test_entity.vhdl
@@ -0,0 +1,22 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity test_entity is
+ generic(
+ DO_GEN : boolean := false
+ );
+ port(
+ val_out : out std_logic
+ );
+end test_entity;
+
+architecture rtl of test_entity is
+begin
+ set_val_1: if DO_GEN generate
+ val_out <= '1';
+ end generate;
+
+ set_val_0: if not DO_GEN generate
+ val_out <= '0';
+ end generate;
+end;