aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff01/dff08.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dff01/dff08.vhdl')
-rw-r--r--testsuite/synth/dff01/dff08.vhdl18
1 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/synth/dff01/dff08.vhdl b/testsuite/synth/dff01/dff08.vhdl
new file mode 100644
index 000000000..7cdb79a84
--- /dev/null
+++ b/testsuite/synth/dff01/dff08.vhdl
@@ -0,0 +1,18 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity dff08 is
+ port (q : out std_logic;
+ d : std_logic;
+ clk : std_logic);
+end dff08;
+
+architecture behav of dff08 is
+begin
+ process (clk) is
+ begin
+ if falling_edge (clk) then
+ q <= d;
+ end if;
+ end process;
+end behav;