aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/blackbox01/tb_blackbox1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/blackbox01/tb_blackbox1.vhdl')
-rw-r--r--testsuite/synth/blackbox01/tb_blackbox1.vhdl33
1 files changed, 33 insertions, 0 deletions
diff --git a/testsuite/synth/blackbox01/tb_blackbox1.vhdl b/testsuite/synth/blackbox01/tb_blackbox1.vhdl
new file mode 100644
index 000000000..695be78d5
--- /dev/null
+++ b/testsuite/synth/blackbox01/tb_blackbox1.vhdl
@@ -0,0 +1,33 @@
+entity tb_blackbox1 is
+end tb_blackbox1;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_blackbox1 is
+ signal a, b : std_logic_vector(7 downto 0);
+ signal r :std_logic_vector(7 downto 0);
+begin
+ dut: entity work.blackbox1
+ port map (a, b, r);
+
+ process
+ begin
+ a <= x"40";
+ b <= x"04";
+ wait for 1 ns;
+ assert r = x"44" severity failure;
+
+ a <= x"b5";
+ b <= x"11";
+ wait for 1 ns;
+ assert r = x"c6" severity failure;
+
+ a <= x"b5";
+ b <= x"23";
+ wait for 1 ns;
+ assert r = x"c8" severity failure;
+
+ wait;
+ end process;
+end behav;