aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/attr02/tb_succ01.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/attr02/tb_succ01.vhdl')
-rw-r--r--testsuite/synth/attr02/tb_succ01.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/synth/attr02/tb_succ01.vhdl b/testsuite/synth/attr02/tb_succ01.vhdl
new file mode 100644
index 000000000..8ff2d31dc
--- /dev/null
+++ b/testsuite/synth/attr02/tb_succ01.vhdl
@@ -0,0 +1,17 @@
+entity tb_succ01 is
+end tb_succ01;
+
+architecture behav of tb_succ01 is
+ signal i, o : integer;
+begin
+ dut: entity work.succ01
+ port map (i, o);
+
+ process
+ begin
+ i <= 5;
+ wait for 1 ns;
+ assert o = 6 severity failure;
+ wait;
+ end process;
+end behav;