aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/arr01/arr01.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/arr01/arr01.vhdl')
-rw-r--r--testsuite/synth/arr01/arr01.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/synth/arr01/arr01.vhdl b/testsuite/synth/arr01/arr01.vhdl
new file mode 100644
index 000000000..be7d26e80
--- /dev/null
+++ b/testsuite/synth/arr01/arr01.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity arr01 is
+ port (v : std_logic_vector(7 downto 0);
+ h : out std_logic_vector(3 downto 0);
+ l : out std_logic_vector(3 downto 0));
+end arr01;
+
+architecture behav of arr01 is
+begin
+ l <= v (3 downto 0);
+ h <= v (7 downto 4);
+end behav;