aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/003errors/replies.json
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/lsp/003errors/replies.json')
-rw-r--r--testsuite/pyunit/lsp/003errors/replies.json2
1 files changed, 1 insertions, 1 deletions
diff --git a/testsuite/pyunit/lsp/003errors/replies.json b/testsuite/pyunit/lsp/003errors/replies.json
index 02e9cac85..88f962da5 100644
--- a/testsuite/pyunit/lsp/003errors/replies.json
+++ b/testsuite/pyunit/lsp/003errors/replies.json
@@ -27,7 +27,7 @@
"jsonrpc": "2.0",
"method": "textDocument/publishDiagnostics",
"params": {
- "uri": "file:///home/tgingold/work/vhdl-language-server/tests/003errors/tc.vhdl",
+ "uri": "file://pyunit/lsp/003errors/tc.vhdl",
"diagnostics": [
{
"source": "ghdl",