aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/libghdl/pkg_bef.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/libghdl/pkg_bef.vhdl')
-rw-r--r--testsuite/pyunit/libghdl/pkg_bef.vhdl4
1 files changed, 4 insertions, 0 deletions
diff --git a/testsuite/pyunit/libghdl/pkg_bef.vhdl b/testsuite/pyunit/libghdl/pkg_bef.vhdl
new file mode 100644
index 000000000..1ed150346
--- /dev/null
+++ b/testsuite/pyunit/libghdl/pkg_bef.vhdl
@@ -0,0 +1,4 @@
+-- comments before design units :p1:
+-- :p1: might be multiline
+package p1 is
+end package;