aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/libghdl/pkg_bef.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-11-21 08:04:17 +0100
committerTristan Gingold <tgingold@free.fr>2022-11-21 08:04:17 +0100
commit2bd7374572542e36740085f3e9328e8169fc2c1e (patch)
tree7609d66057dcfb49766e90b2fe0ded07b18eaef0 /testsuite/pyunit/libghdl/pkg_bef.vhdl
parent0af513e7b59a01f456f6f76369cedf67d8ffc5cf (diff)
downloadghdl-2bd7374572542e36740085f3e9328e8169fc2c1e.tar.gz
ghdl-2bd7374572542e36740085f3e9328e8169fc2c1e.tar.bz2
ghdl-2bd7374572542e36740085f3e9328e8169fc2c1e.zip
testsuite/pyunit: add more tests for comments
Diffstat (limited to 'testsuite/pyunit/libghdl/pkg_bef.vhdl')
-rw-r--r--testsuite/pyunit/libghdl/pkg_bef.vhdl4
1 files changed, 4 insertions, 0 deletions
diff --git a/testsuite/pyunit/libghdl/pkg_bef.vhdl b/testsuite/pyunit/libghdl/pkg_bef.vhdl
new file mode 100644
index 000000000..1ed150346
--- /dev/null
+++ b/testsuite/pyunit/libghdl/pkg_bef.vhdl
@@ -0,0 +1,4 @@
+-- comments before design units :p1:
+-- :p1: might be multiline
+package p1 is
+end package;