aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2155/e.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2155/e.vhdl')
-rw-r--r--testsuite/gna/issue2155/e.vhdl12
1 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/gna/issue2155/e.vhdl b/testsuite/gna/issue2155/e.vhdl
new file mode 100644
index 000000000..9c820566f
--- /dev/null
+++ b/testsuite/gna/issue2155/e.vhdl
@@ -0,0 +1,12 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+use work.closely_related_arrays.all;
+
+entity e is
+end;
+
+architecture behav of e is
+begin
+ assert sv (1) = std_logic_vector (uv (1)) severity failure;
+end behav;