aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1823/entity2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1823/entity2.vhdl')
-rw-r--r--testsuite/gna/issue1823/entity2.vhdl11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/gna/issue1823/entity2.vhdl b/testsuite/gna/issue1823/entity2.vhdl
new file mode 100644
index 000000000..2d9b592ec
--- /dev/null
+++ b/testsuite/gna/issue1823/entity2.vhdl
@@ -0,0 +1,11 @@
+entity entity2 is
+end;
+
+architecture behav of entity2 is
+ signal Clock : bit;
+begin
+ inst2: counter2(a to b)
+ port map (
+ clk => Clock
+ );
+end architecture behav;