aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug040/mul_214.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug040/mul_214.vhd')
-rw-r--r--testsuite/gna/bug040/mul_214.vhd27
1 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/gna/bug040/mul_214.vhd b/testsuite/gna/bug040/mul_214.vhd
new file mode 100644
index 000000000..9de730133
--- /dev/null
+++ b/testsuite/gna/bug040/mul_214.vhd
@@ -0,0 +1,27 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+library ieee;
+use ieee.numeric_std.all;
+
+entity mul_214 is
+ port (
+ output : out std_logic_vector(40 downto 0);
+ in_b : in std_logic_vector(31 downto 0);
+ in_a : in std_logic_vector(31 downto 0)
+ );
+end mul_214;
+
+architecture augh of mul_214 is
+
+ signal tmp_res : signed(63 downto 0);
+
+begin
+
+ -- The actual multiplication
+ tmp_res <= signed(in_a) * signed(in_b);
+
+ -- Set the output
+ output <= std_logic_vector(tmp_res(40 downto 0));
+
+end architecture;