aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug040/cmp_869.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug040/cmp_869.vhd')
-rw-r--r--testsuite/gna/bug040/cmp_869.vhd26
1 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/gna/bug040/cmp_869.vhd b/testsuite/gna/bug040/cmp_869.vhd
new file mode 100644
index 000000000..7b875b1cc
--- /dev/null
+++ b/testsuite/gna/bug040/cmp_869.vhd
@@ -0,0 +1,26 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity cmp_869 is
+ port (
+ eq : out std_logic;
+ in1 : in std_logic_vector(7 downto 0);
+ in0 : in std_logic_vector(7 downto 0)
+ );
+end cmp_869;
+
+architecture augh of cmp_869 is
+
+ signal tmp : std_logic;
+
+begin
+
+ -- Compute the result
+ tmp <=
+ '0' when in1 /= in0 else
+ '1';
+
+ -- Set the outputs
+ eq <= tmp;
+
+end architecture;