aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug040/add_201.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug040/add_201.vhd')
-rw-r--r--testsuite/gna/bug040/add_201.vhd33
1 files changed, 33 insertions, 0 deletions
diff --git a/testsuite/gna/bug040/add_201.vhd b/testsuite/gna/bug040/add_201.vhd
new file mode 100644
index 000000000..726ffce26
--- /dev/null
+++ b/testsuite/gna/bug040/add_201.vhd
@@ -0,0 +1,33 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+library ieee;
+use ieee.numeric_std.all;
+
+entity add_201 is
+ port (
+ output : out std_logic_vector(38 downto 0);
+ in_b : in std_logic_vector(38 downto 0);
+ in_a : in std_logic_vector(38 downto 0)
+ );
+end add_201;
+
+architecture augh of add_201 is
+
+ signal carry_inA : std_logic_vector(40 downto 0);
+ signal carry_inB : std_logic_vector(40 downto 0);
+ signal carry_res : std_logic_vector(40 downto 0);
+
+begin
+
+ -- To handle the CI input, the operation is '1' + CI
+ -- If CI is not present, the operation is '1' + '0'
+ carry_inA <= '0' & in_a & '1';
+ carry_inB <= '0' & in_b & '0';
+ -- Compute the result
+ carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB));
+
+ -- Set the outputs
+ output <= carry_res(39 downto 1);
+
+end architecture;