aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'src/vhdl')
-rw-r--r--src/vhdl/vhdl-annotations.adb4
1 files changed, 3 insertions, 1 deletions
diff --git a/src/vhdl/vhdl-annotations.adb b/src/vhdl/vhdl-annotations.adb
index 6957ba4e3..194341730 100644
--- a/src/vhdl/vhdl-annotations.adb
+++ b/src/vhdl/vhdl-annotations.adb
@@ -885,7 +885,9 @@ package body Vhdl.Annotations is
Assoc_Inter := Inter_Chain;
while Assoc /= Null_Iir loop
Inter := Get_Association_Interface (Assoc, Assoc_Inter);
- if Is_Copyback_Parameter (Inter) then
+ if Get_Kind (Assoc) /= Iir_Kind_Association_Element_By_Individual
+ and then Is_Copyback_Parameter (Inter)
+ then
Create_Object_Info (Block_Info, Assoc, Kind_Object);
end if;
Next_Association_Interface (Assoc, Assoc_Inter);