diff options
Diffstat (limited to 'doc/using/UART_srcs/file_in_out/makefile')
-rw-r--r-- | doc/using/UART_srcs/file_in_out/makefile | 13 |
1 files changed, 0 insertions, 13 deletions
diff --git a/doc/using/UART_srcs/file_in_out/makefile b/doc/using/UART_srcs/file_in_out/makefile deleted file mode 100644 index e7ee72400..000000000 --- a/doc/using/UART_srcs/file_in_out/makefile +++ /dev/null @@ -1,13 +0,0 @@ -all: - rm -rf work - mkdir work - - ghdl -a --work=work --workdir=work ../capitalisation/capitalisation.vhd - ghdl -a --work=work --workdir=work tb_file.vhd - ghdl -e --ieee=synopsys -fexplicit --workdir=work -Pwork tb_file - ghdl -r tb_file --wave=tbench.ghw --stop-time=200us - - -view: - gtkwave tbench.ghw a.gtkw - |