aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-08-11 03:43:58 +0200
committerTristan Gingold <tgingold@free.fr>2022-08-11 03:43:58 +0200
commitec1bb38b7902a3fb3abcafa85e78d421b0350409 (patch)
tree046e1b4e075b9a32d41ea9d402112dfefc79056f /testsuite
parent14fd4bec09cc2b676dd4e9c43e525b319cf81bbf (diff)
downloadghdl-ec1bb38b7902a3fb3abcafa85e78d421b0350409.tar.gz
ghdl-ec1bb38b7902a3fb3abcafa85e78d421b0350409.tar.bz2
ghdl-ec1bb38b7902a3fb3abcafa85e78d421b0350409.zip
testsuite/gna: add a test for #2055
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue2055/tb.vhdl23
-rwxr-xr-xtestsuite/gna/issue2055/testsuite.sh11
2 files changed, 34 insertions, 0 deletions
diff --git a/testsuite/gna/issue2055/tb.vhdl b/testsuite/gna/issue2055/tb.vhdl
new file mode 100644
index 000000000..2b8832bd2
--- /dev/null
+++ b/testsuite/gna/issue2055/tb.vhdl
@@ -0,0 +1,23 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity tb is
+end;
+
+architecture func of tb is
+
+ type t_slv_array is array (natural range <>) of std_logic_vector;
+ signal slv_array : t_slv_array(0 to 1)(9 downto 0);
+ signal slv : std_logic_vector(9 downto 0);
+
+begin
+
+ p_main : process
+ begin
+
+ slv_array <= slv_array(1) & slv;
+
+ std.env.stop;
+ wait; -- to stop completely
+ end process p_main;
+end architecture func;
diff --git a/testsuite/gna/issue2055/testsuite.sh b/testsuite/gna/issue2055/testsuite.sh
new file mode 100755
index 000000000..de8596797
--- /dev/null
+++ b/testsuite/gna/issue2055/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze tb.vhdl
+elab_simulate tb
+
+clean
+
+echo "Test successful"