From ec1bb38b7902a3fb3abcafa85e78d421b0350409 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Thu, 11 Aug 2022 03:43:58 +0200 Subject: testsuite/gna: add a test for #2055 --- testsuite/gna/issue2055/tb.vhdl | 23 +++++++++++++++++++++++ testsuite/gna/issue2055/testsuite.sh | 11 +++++++++++ 2 files changed, 34 insertions(+) create mode 100644 testsuite/gna/issue2055/tb.vhdl create mode 100755 testsuite/gna/issue2055/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/gna/issue2055/tb.vhdl b/testsuite/gna/issue2055/tb.vhdl new file mode 100644 index 000000000..2b8832bd2 --- /dev/null +++ b/testsuite/gna/issue2055/tb.vhdl @@ -0,0 +1,23 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity tb is +end; + +architecture func of tb is + + type t_slv_array is array (natural range <>) of std_logic_vector; + signal slv_array : t_slv_array(0 to 1)(9 downto 0); + signal slv : std_logic_vector(9 downto 0); + +begin + + p_main : process + begin + + slv_array <= slv_array(1) & slv; + + std.env.stop; + wait; -- to stop completely + end process p_main; +end architecture func; diff --git a/testsuite/gna/issue2055/testsuite.sh b/testsuite/gna/issue2055/testsuite.sh new file mode 100755 index 000000000..de8596797 --- /dev/null +++ b/testsuite/gna/issue2055/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze tb.vhdl +elab_simulate tb + +clean + +echo "Test successful" -- cgit v1.2.3