aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-10-03 19:05:08 +0200
committerTristan Gingold <tgingold@free.fr>2019-10-03 19:05:08 +0200
commite5db9a577de24275c1911fc2ad09ab14db39e106 (patch)
tree88fcfd2a04ce3468398d03e72fffa4dba66490d3 /testsuite
parent77bc1f4a883ac8ed63f0be3d443a5b086fc19866 (diff)
downloadghdl-e5db9a577de24275c1911fc2ad09ab14db39e106.tar.gz
ghdl-e5db9a577de24275c1911fc2ad09ab14db39e106.tar.bz2
ghdl-e5db9a577de24275c1911fc2ad09ab14db39e106.zip
testsuite/synth: Add a test for #963
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/synth/issue963/ent.vhdl27
-rw-r--r--testsuite/synth/issue963/tb_ent.vhdl61
-rwxr-xr-xtestsuite/synth/issue963/testsuite.sh16
3 files changed, 104 insertions, 0 deletions
diff --git a/testsuite/synth/issue963/ent.vhdl b/testsuite/synth/issue963/ent.vhdl
new file mode 100644
index 000000000..2481e43a9
--- /dev/null
+++ b/testsuite/synth/issue963/ent.vhdl
@@ -0,0 +1,27 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent is
+ port (
+ clk : in std_logic;
+ set : in std_logic;
+ reset : in std_logic;
+ q : out std_logic
+ );
+end;
+
+architecture a of ent is
+ signal s : std_logic;
+begin
+ process(clk, set, reset)
+ begin
+ if set = '1' then
+ s <= '1';
+ elsif reset = '1' then
+ s <= '0';
+ elsif rising_edge(clk) then
+ s <= not s;
+ end if;
+ end process;
+ q <= s;
+end;
diff --git a/testsuite/synth/issue963/tb_ent.vhdl b/testsuite/synth/issue963/tb_ent.vhdl
new file mode 100644
index 000000000..a07fb1c19
--- /dev/null
+++ b/testsuite/synth/issue963/tb_ent.vhdl
@@ -0,0 +1,61 @@
+entity tb_ent is
+end tb_ent;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_ent is
+ signal clk : std_logic;
+ signal dout : std_logic;
+ signal set : std_logic;
+ signal reset : std_logic;
+begin
+ dut: entity work.ent
+ port map (
+ set => set,
+ reset => reset,
+ q => dout,
+ clk => clk);
+
+ process
+ procedure pulse is
+ begin
+ clk <= '0';
+ wait for 1 ns;
+ clk <= '1';
+ wait for 1 ns;
+ end pulse;
+ begin
+ set <= '1';
+ reset <= '0';
+ pulse;
+ assert dout = '1' severity failure;
+
+ set <= '0';
+ reset <= '0';
+ pulse;
+ assert dout = '0' severity failure;
+
+ set <= '0';
+ reset <= '0';
+ pulse;
+ assert dout = '1' severity failure;
+
+ set <= '0';
+ reset <= '1';
+ pulse;
+ assert dout = '0' severity failure;
+
+ set <= '1';
+ reset <= '1';
+ pulse;
+ assert dout = '1' severity failure;
+
+ set <= '0';
+ reset <= '0';
+ pulse;
+ assert dout = '0' severity failure;
+
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/synth/issue963/testsuite.sh b/testsuite/synth/issue963/testsuite.sh
new file mode 100755
index 000000000..e30a741e0
--- /dev/null
+++ b/testsuite/synth/issue963/testsuite.sh
@@ -0,0 +1,16 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for t in ent; do
+ analyze $t.vhdl tb_$t.vhdl
+ elab_simulate tb_$t
+ clean
+
+ synth $t.vhdl -e $t > syn_$t.vhdl
+ analyze syn_$t.vhdl tb_$t.vhdl
+ elab_simulate tb_$t --ieee-asserts=disable-at-0
+ clean
+done
+
+echo "Test successful"