aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue963/tb_ent.vhdl
blob: a07fb1c19a5ac5b1083c79e2bbb9241276d5c7ef (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
entity tb_ent is
end tb_ent;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_ent is
  signal clk : std_logic;
  signal dout : std_logic;
  signal set : std_logic;
  signal reset : std_logic;
begin
  dut: entity work.ent
    port map (
      set => set,
      reset => reset,
      q => dout,
      clk => clk);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    set <= '1';
    reset <= '0';
    pulse;
    assert dout = '1' severity failure;

    set <= '0';
    reset <= '0';
    pulse;
    assert dout = '0' severity failure;

    set <= '0';
    reset <= '0';
    pulse;
    assert dout = '1' severity failure;

    set <= '0';
    reset <= '1';
    pulse;
    assert dout = '0' severity failure;

    set <= '1';
    reset <= '1';
    pulse;
    assert dout = '1' severity failure;

    set <= '0';
    reset <= '0';
    pulse;
    assert dout = '0' severity failure;

    wait;
  end process;
end behav;