diff options
author | Patrick Lehmann <Patrick.Lehmann@plc2.de> | 2021-08-17 12:17:51 +0200 |
---|---|---|
committer | umarcor <unai.martinezcorral@ehu.eus> | 2021-08-23 16:35:36 +0200 |
commit | d0dc820554320ad076854481f5cb0957edd3cda5 (patch) | |
tree | 6959f4781d454962bfea54886a0c42a90d97d3ac /testsuite | |
parent | 2488e55caba1c369785a93ab2578c4a1e0c40c65 (diff) | |
download | ghdl-d0dc820554320ad076854481f5cb0957edd3cda5.tar.gz ghdl-d0dc820554320ad076854481f5cb0957edd3cda5.tar.bz2 ghdl-d0dc820554320ad076854481f5cb0957edd3cda5.zip |
Implemented handling of wait statements.
Diffstat (limited to 'testsuite')
-rw-r--r-- | testsuite/pyunit/Current.vhdl | 12 |
1 files changed, 8 insertions, 4 deletions
diff --git a/testsuite/pyunit/Current.vhdl b/testsuite/pyunit/Current.vhdl index 69f324f37..1f802af47 100644 --- a/testsuite/pyunit/Current.vhdl +++ b/testsuite/pyunit/Current.vhdl @@ -121,10 +121,14 @@ begin when others => end case; - report "hello" & " " & "world"; - report "vhdl" severity note; - assert true nor false report "nothing"; - assert true nor false report "nothing" severity warning; + wait; + wait on a, b; + wait until rising_edge(clock); + wait on clock until rising_edge(clock); + wait for 10 ns; + wait on c for 50 ns; + wait until rising_edge(clock) for 100 ns; + wait on sel until rising_edge(clock) for 100 ns; end process; a <= b; |