aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-08-24 07:56:33 +0200
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-08-26 21:08:12 +0200
commitcb0ec4a5d35d6eb071864f42adefd8d4e2ebaabb (patch)
tree400b445f81fd63ccd0836776e81351f3c99b4640 /testsuite
parenta6d0550e54a6243f4cc716ce98f348458c865fe8 (diff)
downloadghdl-cb0ec4a5d35d6eb071864f42adefd8d4e2ebaabb.tar.gz
ghdl-cb0ec4a5d35d6eb071864f42adefd8d4e2ebaabb.tar.bz2
ghdl-cb0ec4a5d35d6eb071864f42adefd8d4e2ebaabb.zip
Translate sequential procedure calls.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/pyunit/Current.vhdl1
1 files changed, 1 insertions, 0 deletions
diff --git a/testsuite/pyunit/Current.vhdl b/testsuite/pyunit/Current.vhdl
index c02493c21..c7ce678b3 100644
--- a/testsuite/pyunit/Current.vhdl
+++ b/testsuite/pyunit/Current.vhdl
@@ -94,6 +94,7 @@ begin
Q <= D after 10 ns;
else
Q <= std_logic_vector(unsigned(Q) + 1);
+ counter.increment(1);
end if;
end if;