aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-02-16 18:40:58 +0100
committerTristan Gingold <tgingold@free.fr>2022-02-16 18:41:26 +0100
commita5a0de540287e034f2096ccc1205e96e4d79523e (patch)
tree6d5a1fb321eb2afdca7f13eb383616c82d45a85d /testsuite
parent58dc6e36df2f8ca37c52779c7380dee06cec7719 (diff)
downloadghdl-a5a0de540287e034f2096ccc1205e96e4d79523e.tar.gz
ghdl-a5a0de540287e034f2096ccc1205e96e4d79523e.tar.bz2
ghdl-a5a0de540287e034f2096ccc1205e96e4d79523e.zip
testsuite/synth: add a test for #1971
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/synth/issue1971/repro_bit_oper.vhdl8
-rwxr-xr-xtestsuite/synth/issue1971/testsuite.sh8
2 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/synth/issue1971/repro_bit_oper.vhdl b/testsuite/synth/issue1971/repro_bit_oper.vhdl
new file mode 100644
index 000000000..d5daa14cc
--- /dev/null
+++ b/testsuite/synth/issue1971/repro_bit_oper.vhdl
@@ -0,0 +1,8 @@
+entity repro_bit_oper is
+ port (x : in bit; y : out boolean);
+end;
+
+architecture a of repro_bit_oper is
+begin
+ y <= true when x else false;
+end;
diff --git a/testsuite/synth/issue1971/testsuite.sh b/testsuite/synth/issue1971/testsuite.sh
new file mode 100755
index 000000000..61a429361
--- /dev/null
+++ b/testsuite/synth/issue1971/testsuite.sh
@@ -0,0 +1,8 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+synth_only repro_bit_oper
+
+echo "Test successful"