From a5a0de540287e034f2096ccc1205e96e4d79523e Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 16 Feb 2022 18:40:58 +0100 Subject: testsuite/synth: add a test for #1971 --- testsuite/synth/issue1971/repro_bit_oper.vhdl | 8 ++++++++ testsuite/synth/issue1971/testsuite.sh | 8 ++++++++ 2 files changed, 16 insertions(+) create mode 100644 testsuite/synth/issue1971/repro_bit_oper.vhdl create mode 100755 testsuite/synth/issue1971/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/synth/issue1971/repro_bit_oper.vhdl b/testsuite/synth/issue1971/repro_bit_oper.vhdl new file mode 100644 index 000000000..d5daa14cc --- /dev/null +++ b/testsuite/synth/issue1971/repro_bit_oper.vhdl @@ -0,0 +1,8 @@ +entity repro_bit_oper is + port (x : in bit; y : out boolean); +end; + +architecture a of repro_bit_oper is +begin + y <= true when x else false; +end; diff --git a/testsuite/synth/issue1971/testsuite.sh b/testsuite/synth/issue1971/testsuite.sh new file mode 100755 index 000000000..61a429361 --- /dev/null +++ b/testsuite/synth/issue1971/testsuite.sh @@ -0,0 +1,8 @@ +#! /bin/sh + +. ../../testenv.sh + +GHDL_STD_FLAGS=--std=08 +synth_only repro_bit_oper + +echo "Test successful" -- cgit v1.2.3