aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-05-27 04:47:42 +0200
committerTristan Gingold <tgingold@free.fr>2021-05-27 04:47:42 +0200
commit99314f7e46dbba6b3438cd7174179288b4b01fbe (patch)
tree8359c7e4a3082e95595e728f3621176a8410047c /testsuite
parentb9c27bb75173c1999af18a6a70e37165062421f1 (diff)
downloadghdl-99314f7e46dbba6b3438cd7174179288b4b01fbe.tar.gz
ghdl-99314f7e46dbba6b3438cd7174179288b4b01fbe.tar.bz2
ghdl-99314f7e46dbba6b3438cd7174179288b4b01fbe.zip
testsuite/gna: remove trailing CR in issue#1771
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue1771/add_carry_ghdl_testbench.vhdl30
-rw-r--r--testsuite/gna/issue1771/add_carry_testbench.ref30
2 files changed, 30 insertions, 30 deletions
diff --git a/testsuite/gna/issue1771/add_carry_ghdl_testbench.vhdl b/testsuite/gna/issue1771/add_carry_ghdl_testbench.vhdl
index 271d872f6..7efde40b3 100644
--- a/testsuite/gna/issue1771/add_carry_ghdl_testbench.vhdl
+++ b/testsuite/gna/issue1771/add_carry_ghdl_testbench.vhdl
@@ -33,11 +33,11 @@ begin
sz_6_0 <= z;
sco_6_0 <= co;
wait for 1 ns;
- write(msg, "sci=" & to_string(sci) & CR & LF);
- write(msg, "sx_6_0=" & to_hstring(sx_6_0) & CR & LF);
- write(msg, "sy_6_0=" & to_hstring(sy_6_0) & CR & LF);
- write(msg, "sz_6_0=" & to_hstring(sz_6_0) & CR & LF);
- write(msg, "sco_6_0=" & to_string(sco_6_0) & CR & LF);
+ write(msg, "sci=" & to_string(sci) & LF);
+ write(msg, "sx_6_0=" & to_hstring(sx_6_0) & LF);
+ write(msg, "sy_6_0=" & to_hstring(sy_6_0) & LF);
+ write(msg, "sz_6_0=" & to_hstring(sz_6_0) & LF);
+ write(msg, "sco_6_0=" & to_string(sco_6_0) & LF);
writeline(output,msg);
wait;
end process test_add_carry_6_0;
@@ -55,11 +55,11 @@ begin
sz_3_m3 <= z;
sco_3_m3 <= co;
wait for 2 ns;
- write(msg, "sci=" & to_string(sci) & CR & LF);
- write(msg, "sx_3_m3=" & to_hstring(sx_3_m3) & CR & LF);
- write(msg, "sy_3_m3=" & to_hstring(sy_3_m3) & CR & LF);
- write(msg, "sz_3_m3=" & to_hstring(sz_3_m3) & CR & LF);
- write(msg, "sco_3_m3=" & to_string(sco_3_m3) & CR & LF);
+ write(msg, "sci=" & to_string(sci) & LF);
+ write(msg, "sx_3_m3=" & to_hstring(sx_3_m3) & LF);
+ write(msg, "sy_3_m3=" & to_hstring(sy_3_m3) & LF);
+ write(msg, "sz_3_m3=" & to_hstring(sz_3_m3) & LF);
+ write(msg, "sco_3_m3=" & to_string(sco_3_m3) & LF);
writeline(output,msg);
wait;
end process test_add_carry_3_m3;
@@ -76,11 +76,11 @@ begin
sz_3_0_m3 <= z;
sco_3_0_m3 <= co;
wait for 3 ns;
- write(msg, "sci=" & to_string(sci) & CR & LF);
- write(msg, "sx_3_0=" & to_hstring(sx_3_0) & CR & LF);
- write(msg, "sy_3_m3=" & to_hstring(sy_3_m3) & CR & LF);
- write(msg, "sz_3_0_m3=" & to_hstring(sz_3_0_m3) & CR & LF);
- write(msg, "sco_3_0_m3=" & to_string(sco_3_0_m3) & CR & LF);
+ write(msg, "sci=" & to_string(sci) & LF);
+ write(msg, "sx_3_0=" & to_hstring(sx_3_0) & LF);
+ write(msg, "sy_3_m3=" & to_hstring(sy_3_m3) & LF);
+ write(msg, "sz_3_0_m3=" & to_hstring(sz_3_0_m3) & LF);
+ write(msg, "sco_3_0_m3=" & to_string(sco_3_0_m3) & LF);
writeline(output,msg);
wait;
end process test_add_carry_3_0_m3;
diff --git a/testsuite/gna/issue1771/add_carry_testbench.ref b/testsuite/gna/issue1771/add_carry_testbench.ref
index b72fd1bb3..52f177eae 100644
--- a/testsuite/gna/issue1771/add_carry_testbench.ref
+++ b/testsuite/gna/issue1771/add_carry_testbench.ref
@@ -1,19 +1,19 @@
elaborate and simulate add_carry_ghdl_testbench
-sci=1
-sx_6_0=68.0
-sy_6_0=38.0
-sz_6_0=21.0
-sco_6_0=1
+sci=1
+sx_6_0=68.0
+sy_6_0=38.0
+sz_6_0=21.0
+sco_6_0=1
-sci=1
-sx_3_m3=D.0
-sy_3_m3=7.0
-sz_3_m3=4.2
-sco_3_m3=1
+sci=1
+sx_3_m3=D.0
+sy_3_m3=7.0
+sz_3_m3=4.2
+sco_3_m3=1
-sci=1
-sx_3_0=D.0
-sy_3_m3=7.0
-sz_3_0_m3=4.2
-sco_3_0_m3=1
+sci=1
+sx_3_0=D.0
+sy_3_m3=7.0
+sz_3_0_m3=4.2
+sco_3_0_m3=1