aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-12-28 16:39:22 +0100
committerTristan Gingold <tgingold@free.fr>2021-12-28 19:03:36 +0100
commit8d512a44b79e8ef25183a4a06c5f6dfd0361d13b (patch)
treeb54b5ac0d4b1095d639c23bc8fc69b2fed405293 /testsuite
parent32845f733d21d7330f095df1209ea829148867d6 (diff)
downloadghdl-8d512a44b79e8ef25183a4a06c5f6dfd0361d13b.tar.gz
ghdl-8d512a44b79e8ef25183a4a06c5f6dfd0361d13b.tar.bz2
ghdl-8d512a44b79e8ef25183a4a06c5f6dfd0361d13b.zip
testsuite/synth: add a test for #1936
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/synth/issue1936/repro.vhdl22
-rwxr-xr-xtestsuite/synth/issue1936/testsuite.sh7
2 files changed, 29 insertions, 0 deletions
diff --git a/testsuite/synth/issue1936/repro.vhdl b/testsuite/synth/issue1936/repro.vhdl
new file mode 100644
index 000000000..0e858848a
--- /dev/null
+++ b/testsuite/synth/issue1936/repro.vhdl
@@ -0,0 +1,22 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+library std;
+use std.textio.all;
+
+entity test is
+ port (
+ clock : in std_logic
+ );
+end test;
+
+architecture test_arc of test is
+ impure function minified_func return boolean is
+ file fref, fref2: text;
+ begin
+ return false;
+ end function;
+begin
+
+end test_arc;
+
diff --git a/testsuite/synth/issue1936/testsuite.sh b/testsuite/synth/issue1936/testsuite.sh
new file mode 100755
index 000000000..e9dc72228
--- /dev/null
+++ b/testsuite/synth/issue1936/testsuite.sh
@@ -0,0 +1,7 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+synth_only repro
+
+echo "Test successful"