From 8d512a44b79e8ef25183a4a06c5f6dfd0361d13b Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 28 Dec 2021 16:39:22 +0100 Subject: testsuite/synth: add a test for #1936 --- testsuite/synth/issue1936/repro.vhdl | 22 ++++++++++++++++++++++ testsuite/synth/issue1936/testsuite.sh | 7 +++++++ 2 files changed, 29 insertions(+) create mode 100644 testsuite/synth/issue1936/repro.vhdl create mode 100755 testsuite/synth/issue1936/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/synth/issue1936/repro.vhdl b/testsuite/synth/issue1936/repro.vhdl new file mode 100644 index 000000000..0e858848a --- /dev/null +++ b/testsuite/synth/issue1936/repro.vhdl @@ -0,0 +1,22 @@ +library ieee; +use ieee.std_logic_1164.all; + +library std; +use std.textio.all; + +entity test is + port ( + clock : in std_logic + ); +end test; + +architecture test_arc of test is + impure function minified_func return boolean is + file fref, fref2: text; + begin + return false; + end function; +begin + +end test_arc; + diff --git a/testsuite/synth/issue1936/testsuite.sh b/testsuite/synth/issue1936/testsuite.sh new file mode 100755 index 000000000..e9dc72228 --- /dev/null +++ b/testsuite/synth/issue1936/testsuite.sh @@ -0,0 +1,7 @@ +#! /bin/sh + +. ../../testenv.sh + +synth_only repro + +echo "Test successful" -- cgit v1.2.3