aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-01-07 19:10:20 +0100
committerTristan Gingold <tgingold@free.fr>2019-01-07 19:10:20 +0100
commit5a74fc4623feb495512954cf4bee809e0eeb097c (patch)
tree46420d2e8c7ccc0ef9f838e4c9de54c0d5fa417f /testsuite
parent9e8f7c5d26de956c7cef21fdb3393db287d66507 (diff)
downloadghdl-5a74fc4623feb495512954cf4bee809e0eeb097c.tar.gz
ghdl-5a74fc4623feb495512954cf4bee809e0eeb097c.tar.bz2
ghdl-5a74fc4623feb495512954cf4bee809e0eeb097c.zip
Add testcase for #735
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue735/ent.vhdl12
-rwxr-xr-xtestsuite/gna/issue735/testsuite.sh11
2 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/gna/issue735/ent.vhdl b/testsuite/gna/issue735/ent.vhdl
new file mode 100644
index 000000000..f44d5dab2
--- /dev/null
+++ b/testsuite/gna/issue735/ent.vhdl
@@ -0,0 +1,12 @@
+entity ent is
+end entity;
+
+architecture impl of ent is
+ type bool_vector is array(0 downto 0) of boolean;
+ signal baz: bool_vector;
+begin
+
+assert baz(0)
+ severity note;
+
+end architecture;
diff --git a/testsuite/gna/issue735/testsuite.sh b/testsuite/gna/issue735/testsuite.sh
new file mode 100755
index 000000000..bda8f5d14
--- /dev/null
+++ b/testsuite/gna/issue735/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze ent.vhdl
+elab_simulate ent
+
+clean
+
+echo "Test successful"