diff options
author | Tristan Gingold <tgingold@free.fr> | 2019-01-07 19:10:20 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2019-01-07 19:10:20 +0100 |
commit | 5a74fc4623feb495512954cf4bee809e0eeb097c (patch) | |
tree | 46420d2e8c7ccc0ef9f838e4c9de54c0d5fa417f | |
parent | 9e8f7c5d26de956c7cef21fdb3393db287d66507 (diff) | |
download | ghdl-5a74fc4623feb495512954cf4bee809e0eeb097c.tar.gz ghdl-5a74fc4623feb495512954cf4bee809e0eeb097c.tar.bz2 ghdl-5a74fc4623feb495512954cf4bee809e0eeb097c.zip |
Add testcase for #735
-rw-r--r-- | testsuite/gna/issue735/ent.vhdl | 12 | ||||
-rwxr-xr-x | testsuite/gna/issue735/testsuite.sh | 11 |
2 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/gna/issue735/ent.vhdl b/testsuite/gna/issue735/ent.vhdl new file mode 100644 index 000000000..f44d5dab2 --- /dev/null +++ b/testsuite/gna/issue735/ent.vhdl @@ -0,0 +1,12 @@ +entity ent is +end entity; + +architecture impl of ent is + type bool_vector is array(0 downto 0) of boolean; + signal baz: bool_vector; +begin + +assert baz(0) + severity note; + +end architecture; diff --git a/testsuite/gna/issue735/testsuite.sh b/testsuite/gna/issue735/testsuite.sh new file mode 100755 index 000000000..bda8f5d14 --- /dev/null +++ b/testsuite/gna/issue735/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze ent.vhdl +elab_simulate ent + +clean + +echo "Test successful" |