aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-05-28 20:57:09 +0200
committerTristan Gingold <tgingold@free.fr>2021-05-28 20:57:09 +0200
commit5885c15733efd56a0254b3735deceadf26f7d5d2 (patch)
treea226d64c0b25d7376a0d2baa1e66af15b771ac7c /testsuite
parentac49cafe30e4b67eebd73d69db45d294ea7e8522 (diff)
downloadghdl-5885c15733efd56a0254b3735deceadf26f7d5d2.tar.gz
ghdl-5885c15733efd56a0254b3735deceadf26f7d5d2.tar.bz2
ghdl-5885c15733efd56a0254b3735deceadf26f7d5d2.zip
testsuite/gna: add a test for previous commit
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug0100/name1.vhdl11
-rw-r--r--testsuite/gna/bug0100/name2.vhdl11
2 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/gna/bug0100/name1.vhdl b/testsuite/gna/bug0100/name1.vhdl
new file mode 100644
index 000000000..0ba1876e0
--- /dev/null
+++ b/testsuite/gna/bug0100/name1.vhdl
@@ -0,0 +1,11 @@
+entity crash1 is
+end crash1;
+
+architecture behav of crash1 is
+ signal samples: bit;
+begin
+ process
+ begin
+ bit'(samples));
+ end process;
+end behav;
diff --git a/testsuite/gna/bug0100/name2.vhdl b/testsuite/gna/bug0100/name2.vhdl
new file mode 100644
index 000000000..702c5823b
--- /dev/null
+++ b/testsuite/gna/bug0100/name2.vhdl
@@ -0,0 +1,11 @@
+entity name2 is
+end name2;
+
+architecture behav of name2 is
+ signal samples: bit;
+begin
+ process
+ begin
+ bit'samples);
+ end process;
+end behav;